id summary reporter owner description type status priority milestone component version resolution keywords cc blockedby blocking branch_state votes 4087 [has-patch] Update verilog syntax highlighting to support latest SystemVerilog standards purdeaandrei andrew_b "Hello, I'm going to attach a set of patches to update the Verilog syntax highlighting file to support the latest SystemVerilog standards. " enhancement closed minor 4.8.25 mcedit master fixed verilog systemverilog syntax merged committed-master